第7週上課摘要和作業 第7週上課摘要和作業

 

上課摘要:

 

 24解碼器程式

library IEEE;

use IEEE.STD_LOGIC_1164.all;

 

ENTITY decoder2_4w IS

PORT ( X  : IN  STD_LOGIC_VECTOR(1 downto 0);

           Y0,Y1,Y2,Y3 : OUT STD_LOGIC);

END decoder2_4w;

 

ARCHITECTURE a OF decoder2_4w IS

BEGIN

        Y0 <= '1' when X="00" else '0';

        Y1 <= '1' when X="01" else '0';

        Y2 <= '1' when X="10" else '0';

        Y3 <= '1' when X="11" else '0';

END a;

 

 

作業指定:

 

  • 修改上述範例程式,設計38解碼器,輸入變數分別為A, B, C, 輸出變數為Y0, Y1, Y2, Y3, Y4, Y5, Y6, Y7,專案名稱使用『d學號』。

 

  • 請將程式畫面及波形模擬畫面存成圖檔。